ADVANCED ENCRYPTION STANDARD FOR IMAGE PROCESSING

This design is based on AES Key Expansion in which the encryption process is a bit wise exclusive or operation of a set of image pixels along with a 128-bit key which changes for every set of pixels. The keys to be used are generated independently at the sender and receiver side based on AES Key Expansion process hence the initial key is alone shared rather than sharing the whole set of keys. The algorithm has been experimented with standard sample images. To verify the algorithm, we used Verilog HDL with Matlab program. The simulation is carried out using Matlab along with Modelsim software where the input image is converted into corresponding pixel values using Matlab.

REFERENCES

Reference Paper-1: Image Encryption Based on AES Key Expansion

Author’s Name: B.Subramanyan, Vivek.M.Chhabria, T.G.Sankar babu

Source: IEEE

Year: 2011

You can DOWNLOAD the Verilog HDL code to execute the design.

SIMULATION VIDEO DEMO

If you are looking for customized design development, contact us by WhatsApp @ +91 790 456 8 456 or Email us info@verilogcourseteam.com.

PREVIOUS PAGE| MORE COMING SOON...